1000字范文,内容丰富有趣,学习的好帮手!
1000字范文 > EDA行业最新调研报告(国际巨头 国内龙头详细技术及市场分析)

EDA行业最新调研报告(国际巨头 国内龙头详细技术及市场分析)

时间:2023-09-30 12:03:27

相关推荐

EDA行业最新调研报告(国际巨头 国内龙头详细技术及市场分析)

「来源: |微波射频网 ID:mwrfnet」

集成电路产业的最上游,万亿数字经济的基础产业;头部EDA企业占据90%的国际市场,高研发投入保障高技术壁垒;需求、供给、政策三重驱动,国产EDA有望加速突破。

来源:计算机新风向

主要观点

集成电路产业的最上游,万亿数字经济的基础产业

EDA是集成电路领域的CAD加CAE,用于完成超大规模集成电路的设计、综合、验证、物理设计等流程,是典型的技术与算法密集型产业。经过50年的发展,EDA工具已经从辅助性技术成为了芯片产业的核心支柱技术之一。从产业链角度看:EDA是芯片制造的最上游产业,是衔接集成电路设计、制造和封测的关键纽带,与Fabless和Foundry一起形成芯片产业链的铁三角。从应用角度看:EDA工具广泛应用于数字设计、模拟设计、晶圆制造、封装、系统五大环节,已经成为芯片设计生产过程中不可或缺的工具。从产品价值量角度看,EDA价值量飞速上涨,目前某些单套EDA软件工具价格可达数千万美元,形成了超过80%毛利率的商业模式,同时EDA厂商还积极开拓了IP核、硬件加速器等新业务,进一步增加了EDA相关产品价值量,目前IP核业务在Synopsys的营收之中占比已经达30%以上。从市场角度看:全球EDA市场规模约为百亿美元,根据research and markets数据,预计到2025年全球EDA市场规模将达到145亿美元。从EDA的下游来看,对EDA有直接需求的半导体制造产业市场规模高达700亿美元,EDA更是间接支撑着数十万亿规模的数字经济,杠杆效应接近200倍,属于非常重要的基础产业。

头部EDA企业占据90%的国际市场,高研发投入保障高技术壁垒

EDA工具市场头部集中度极高,头部企业垄断全球90%市场。根据ESD Alliance数据,三巨头Synopsys、Cadence和Mentor Graphics(Siemens EDA),占据了全球EDA市场约70%的份额。其中,Synopsys占据32%,Cadence占据23%,Siemens EDA占据14%。三家企业均拥有完整且优势明显的全流程EDA工具,且部分流程工具在细分领域拥有绝对优势,EDA相关营收每年超过10亿美元。其次,部分专精企业拥有部分领域的全流程EDA工具,且在局部领域具有绝对优势。例如Ansys的功耗分析、压电分析等工具,Keysight的电磁仿真、射频综合等工具,华大九天的平板显示电路设计EDA工具。这两类企业占据了整个市场90%以上的份额。从技术投入角度来看,EDA是算法密集型产业,企业对EDA的长期高强度的技术研发投入成为其保持长久竞争力的关键之一,目前世界头部EDA企业Cadence和Synopsys的研发投入始终保持在30%以上,持续巩固自身技术壁垒。

需求、供给、政策三重驱动,国产EDA有望加速突破

需求端:一方面,国际技术竞争背景之下,芯片产业国产替代大有可为,经过多年的发展,我国芯片设计环节:华为海思等Fabless厂商技术能力已经进入世界前列;芯片制造环节:中芯国际位居世界第五,华虹集团位居第八;芯片封装测试环节:世界营收前十的封装测试厂中长电科技位居第三、通富微电位居第五,华天科技位居第六。另一方面国产电子产品下游景气度高,根据GII research数据,我国电子信息产品市场份额约为27%,为全球第一大市场。两者叠加保障了国产EDA软件的需求。供给端:国内EDA市场快速发展,国内本土品牌在部分领域已经实现突破,整体份额持续上升。根据赛迪顾问数据,国产EDA工具销售额在-呈现逐年增长的态势。,国产EDA工具实现9.1亿元的销售额,其中境外销售为1.5亿元,境内销售额为7.6亿元。此外,国内EDA企业持续吸纳相关人才,至,我国EDA企业人才由700人迅速增长至2000人。政策端:国家接连出台支持政策,为集成电路设计开辟发展的绿色通道。,出台集成电路设计领域的重点布局事项和相关优惠政策。,再次对集成电路设计领域进行了税收优惠,随后开展了-制造业设计能力提升专项行动。,国务院发布了《新时期促进集成电路产业和软件产业高质量发展的若干政策》,从财税政策、投融资政策、研究开发政策、进出口政策等八大方面为EDA行业助力。我们认为,目前国内已经具备了EDA快速发展的基础,下游需求景气、政策支持力度大、EDA企业实现技术的初步突围,EDA产业国产化趋势有望加速。

投资建议

我们认为:1)EDA是万亿数字经济的根基技术, 贯穿芯片产业各个环节。2)EDA行业享有独特且优质的商业模式。3)工业软件与半导体双轮驱动,我国EDA产业迎来发展机遇。我们建议关注三类企业:1)国产EDA龙头:华大九天;2)在部分领域具有全球竞争力的EDA厂商:概伦电子、广立微;3)近年来涌现出的一批新兴EDA企业:思尔芯、鸿芯微纳、芯和半导体、芯华章。

风险提示

1)技术研发突破不及预期;

2)政策支持不及预期;

3)下游需求不及预期;

4)核心技术人员流失。

正文

1 从辅助设计到自动化设计,EDA成为芯片产业链支点

1.1 集成电路设计之脑,万亿电子产业之基

EDA是集成电路领域的CAD加CAE,典型的技术与知识密集型产业。电子设计自动化(EDA)是利用计算机辅助设计软件,来完成超大规模集成电路芯片的功能设计、综合、验证、物理设计(布局、布线、版图、设计规则检查等)等流程的设计方式。从功能来看整个集成电路 EDA 工具通常可以分为三大类。1)综合设计工具。主要应用于Fabless厂,完成系统整合、逻辑综合、布局布线等各级设计。2)仿真工具。验证设计的正确性并优化设计结构,包括电路仿真与验证、物理设计规则检查等。3)测试与数据管理工具。主要应用于Foundry厂,完成测试芯片的设计、提升测试精度、进行制造工艺和成品的数据分析等。从底层技术来看,EDA工具需要对数千种情境进行快速设计探索,实现性能、功耗、面积、成本等芯片物理指标和经济指标的平衡,需要计算机、数学、物理、电子电路、工艺等多种学科的紧密配合,是典型的技术与知识密集型产业。

EDA是集成电路设计发展的必然选择,电子产业的根基技术。随着半导体行业的发展,集成电路的复杂程度指数级上升,现在集成度最高的芯片已经集成了数万亿个晶体管,未来芯片的集成度会越来越高,人工绘图已经是不可能完成的任务,因此利用计算机辅助手段解决集成电路设计问题的EDA工具成为IC设计的必需品。同时EDA工具也是IC设计企业降本增效的必然选择,根据加州大学圣迭戈分校Andrew Kahng教授的推测,EDA技术进步让设计效率提升近200倍,将消费级SoC的设计成本从77亿美元降低到4500万美元。从应用来看,EDA 工具贯穿电子设计的多个环节,覆盖的环节包括数字芯片设计、模拟设计、平板显示电路设计、晶圆制造、封装测试、系统仿真等。从市场价值来看,百亿美元的EDA市场构筑了万亿电子产业的根基。

应用角度:EDA工具广泛应用于多个设计场景,贯穿芯片设计各个环节。EDA工具种类繁多,广泛应用于数字设计、模拟设计、晶圆制造、封装、系统五大类场景。以EDA工具的主要应用场景数字设计为例,其前后端设计的多个环节均需要依赖EDA工具实现,前端设计:1)HDL编码:将模块功能以代码(硬件描述语言)来描述实现。2)仿真验证:检验编码设计的正确性。3)逻辑综合:把设计实现的HDL代码翻译成门级网表。4)静态时序分析(SAT),在时序上对电路进行验证,检查电路是否存在建立时间和保持时间的违例。5)形式验证:从功能上对综合后的网表进行验证。后端设计:1)可测性设计:在设计的时候就考虑芯片自带的测试电路。2)布局规划:放置芯片的宏单元模块,在总体上确定各种功能电路的摆放位置。3)时钟树综合:时钟的布线,时钟信号在数字芯片起全局指挥作用,对称式地连到各个寄存器单元时延迟差异最小。4)布线:各个标准单元之间的走线。

产业链角度:芯片是电子信息技术产业的根本,EDA是芯片设计的最上游。芯片现在已融入信息社会的各个方面,军、民、商各类电子信息设备的核心都是芯片,电子信息技术产业发展的根基也是芯片。而EDA是芯片设计与生产的核心,从整个产业链来看,EDA是芯片制造的最上游产业,是衔接集成电路设计、制造和封测的关键纽带,对行业生产效率、产品技术水平有重要影响。设计方面,设计人员必须使用EDA工具设计几十万到数十亿晶体管的复杂集成电路,以减少偏差、提高成功率及节省费用。制造方面,基于新材料、新工艺的下一代EDA 技术将给集成电路性能提升、尺寸缩减带来新的发展机遇。

市场角度:EDA对芯片制造的作用举足轻重,是万亿电子信息产业的支点。随着芯片工艺水平的精细,流片的成本越来越高昂,EDA技术成为芯片制造中不可替代的部分。EDA技术可以帮助设计者极大地提高效率、缩短设计周期、节省设计成本。从EDA市场本身来看,根据华经产业研究院数据,EDA市场实现10%的增速,为近五年的最高增速,而根据research and markets数据,预计到2025年全球EDA市场规模将达到145亿美元。从电子行业来看,EDA直接支撑的半导体制造产业市场规模高达700亿美元,再向上更是支撑着万亿规模的数字经济,杠杆效应接近200倍。国内的集成电路市场相较于全球其他地区规模最大、增速最快,EDA工具的杠杆效应更加明显。

1.2 历经50年改进,从CAD发展为EDA

从CAD到现代EDA,逐渐成为半导体行业的核心节点。EDA发展至今已经成为整个半导体行业生态链中最上游、最高端的节点,芯片制造的全流程几乎都有EDA的参与。回顾EDA发展的50年,共经历了四个发展阶段:

第一阶段:计算机辅助设计(CAD)时代。20世纪70年代中期,随着电路集成度的提升,设计人员开始尝试使用CAD工具进行设计工程自动化来替代手工绘图,当时CAD的主要功能是交互图形编辑、晶体管级版图设计、布局布线、设计规则检查、门级电路模拟和验证等。

第二阶段:计算机辅助工程(CAED)时代。1980年卡弗尔·米德和琳·康维发表的《超大规模集成电路系统导论》,第一次提出用语言编程的方式设计芯片。EDA工具功能开始包括自动布局布线、定时分析、逻辑模拟、仿真故障等,主要对设计电路的功能检测问题进行处理。这个时代EDA商业化逐渐成熟,现在的EDA三巨头Mentor 、Cadence和Synopsys相继成立。

第三阶段:电子系统设计自动化(EDA)时代。90年代之后,硬件语言的标准化和微电子技术的突飞猛进(芯片可以集成上亿晶体管),推动了EDA设计工具的发展和普及。设计师开始从电路设计转向系统设计,以高级语言描述、系统级仿真和综合技术为特点的EDA就此出现,真正实现了设计的自动化。

第四阶段:现代EDA时代。随着大规模集成电路、计算机和电子系统设计技术的不断发展, EDA 技术在多种产业广泛应用,从设计、性能测试、特性分析、产品模拟等,都可在EDA 环境下进行开发与验证。同时随着智能手机、4G/5G、物联网等技术的发展,射频EDA软件迎来了发展的黄金阶段。

现代国际市场三足鼎立,头部企业垄断全球90%市场。从全球范围来看,EDA行业企业可以按照市场规模划分为三类:第一类企业是Synopsys、Cadence和Mentor Graphics(Siemens EDA),占据了全球EDA市场约70%的份额。三家企业均拥有完整且优势明显的全流程EDA工具,且部分流程工具在细分领域拥有绝对优势,EDA相关营收每年超过10亿美元。第二类企业拥有部分领域的全流程EDA工具,且在局部领域具有绝对优势。例如Ansys在热分析、压电分析等领域占据绝对优势;Keysight的EEsof在电磁仿真、射频综合等领域具有优势;华大九天在FPD面板领域有领先优势。这一类的企业占据了全球约15%到20%的市场规模。第三类的企业主要聚焦于某些特定领域或用途的点工具,整体规模和产品完整度与前两类的企业存在明显的差距。

1.3 超高技术壁垒带来超高毛利率,EDA产业模式独特

EDA产业技术壁垒高筑,EDA软件业务享受近90%毛利率。正如前文所说,EDA是算法密集型产业,需要对数千种情境进行快速设计探索,涉及计算机、数学、物理等多基础学科的结合应用。这种基础学科技术的不断突破和应用,需要通过长时间的技术研发投入和专利积累来实现。目前,头部企业对EDA的长期高强度的技术研发投入成为其保持长久竞争力的关键之一,成熟的EDA企业纷纷形成了极高的技术壁垒。过去十年间,世界头部EDA企业Cadence和Synopsys的研发投入始终保持在30%以上。另一方面,超高的研发投入与技术壁垒让行业内的企业享受到了近90%的毛利率,行业内头部企业Synopsys和Cadence的总体毛利率常年维持在80%左右,并呈现持续上升的趋势。

商业模式从License授权,到IP核和硬件加速器,EDA企业服务范围不断扩大。EDA行业的商业模式不断创新,由单一EDA软件的销售演进到现在的License证书授权、IP核(Intellectual Property)以及仿真加速器的三部分销售。1)License证书授权:标准EDA软件包,售价昂贵,下游客户通常需要购买多套license才能满足需求,三年license费用高达百万美金。而随着半导体工艺和EDA技术的不断进步,客户需要重复购买,使EDA行业的利润得到很大程度上的保障。2)IP核:把拥有知识产权的电路设计方案整合为一体,构成集成电路的基本单位,这些基本单位被以功能扩展包的形式出售。不同功能的IP被组合起来可以构造不同功能晶片的基础系统。3)加速器:加快仿真速度,提升客户产品完成效率。

1.4 EDA企业的三大发展动能:收并购、政策支持、产业链协同

收购和并购:EDA企业扩张的核心手段,促使产品由点及面快速完善。回顾EDA的过去50年,由于EDA工具种类繁多、分工精细、领域内技术壁垒高筑的特点,行业内的三巨头均是在某一特定领域崛起后依靠收并购来拓展自己的产品线,依靠技术+资本的双重力量,在扩充加强产品线的同时将潜在的挑战者“扼制”在萌芽状态。自三巨头成立以来至今,Synopsys进行了近百次的收购,Cadence本身就是并购形成,50年间进行了超过70次的收购,Mentor Graphics则进行了近50次的收购。从市场规模也可以看出收并购最频繁的Synopsys占有了全球最多的市场份额。具体来看,有多次重要的收购导致了三巨头现在的市场格局,比如,2001年Synopsys收购Avanti,一举补齐了数字集成电路EDA全流程技术,获得了后端布局布线近四成的市场;Synopsys又通过收购Synpicity成功进入FPGA和快速增长的原型市场。

政策支持:EDA行业发展的护道者,美国政府每年在EDA行业投入数千万美金。EDA行业规模小、技术难却不可或缺,是实现复杂芯片设计的必要工具,因此政府的支持成为行业发展的重要保障。自1980年EDA初步商业化开始,以美国为首的发达国家就从未停止过对EDA领域的支持。美国政府方面,主要由国家科学基金(NSF)和半导体研究共同体(SRC)为EDA研究保驾护航,两者交互配合,弥合创新前段由于知识需求和商业关注的巨大差距形成的“创新死亡谷”。NSF的主要任务是促进突破性的发现,帮助企业克服创新研究的初期阶段,1984年到,NSF支持了1190个与EDA相关的课题。而SRC则是NSF的接棒者,主要关注研究成果的初步商业化,聚焦芯片设计领域,每年将大约2000万美元的资金投向EDA研究领域。

全产业链协同发展:EDA进步的基础,EDA、Fabless和Foundry是芯片制造的铁三角。从整个产业链来看,EDA产品开发模式为铁三角模式,EDA的进步背后是整个产业链能力的提升。在这个三角中,第一个顶点为EDA厂商,其为Fabless提供支持;第二个顶点是Foundry厂商,通过Foundry厂在工艺文件、工艺参数(PDK)上的支持,EDA厂商才能将设计出的曲线与实际流片曲线进行拟合,吻合度越好说明工具越成熟;第三个顶点是Fabless,其是EDA工具的主要使用者,EDA的研发重点在于解决设计过程中遇到的问题,而新的问题来源都是新工艺和复杂设计,Fabless厂商复杂设计的演进会带给EDA厂商新的机会和改进空间。

1.5 产业链升级叠加政策助力,国内EDA行业有望取得突破

国内市场仍以海外三巨头为主,国产企业崭露头角。,Cadence、Synopsys和Siemens EDA三家公司仍占据了国内EDA行业的主导地位,合计市占率为77%,三巨头的技术水平、产品完成度和丰富度仍旧大幅领先国内相关企业。从国内企业来看,国产EDA企业逐步发力,,华大九天在国内EDA市场以6%的市占率排名第四,已经超过另外两大海外大厂Ansys和Keysight;概伦电子也初步打入市场,占据国内市场1.4%的份额。

国内芯片产业发展迅速,奠定国产EDA发展的土壤。从产业链角度看,芯片制造主要分为芯片设计、芯片制造、封装/测试三个环节,目前我国已经在多个环节实现了自主可控。具体来看:1)芯片设计环节:从技术能力来看,华为海思等Fabless厂商已经进入世界前列;2)芯片制造环节:我国在国际上有明显的竞争力,在世界营收前十的晶圆厂中,中芯国际位居第五,华虹集团位居第八;3)芯片封装测试环节:我国在封装测试方面竞争力较强,世界营收前十的封装测试厂中,长电科技位居第三、通富微电位居第五,华天科技位居第六。从市场角度看,一方面,国际技术竞争激烈,芯片全产业链的国产化替代大有可为,EDA是其中的重要一环;另一方面,下游电子产品市场发展迅速,手机、电脑、智能车、IoT产品等市场对芯片的需求不断增长,推动了整个芯片产业的发展。我们认为,当下国内产业链逐渐完备、下游需求景气度高涨,我国已经具备了EDA技术发展的土壤。

国内EDA市场快速发展,相关人才储备逐步上升。从国内市场来看,Synopsys、Cadence和Mentor Graphics(Siemens EDA)三大国际EDA厂商主导市场,但国内本土品牌持续发力,在部分领域已经实现突破,整体份额持续上升。根据赛迪顾问数据,国产EDA工具销售额在-呈现逐年增长的态势。,国产EDA工具实现9.1亿元的销售额,其中境外销售为1.5亿元,境内销售额为7.6亿元。此外,国内EDA企业持续吸纳EDA相关人才,至,我国EDA企业人才由700人增长至2000人,为未来我国EDA行业的持续技术突破打下了良好的基础。

政策力度持续加大,为EDA技术的发展保驾护航。20世纪八十年代,国内就开始了EDA的研究,但为了在集成电路领域不被国外落下,不得不暂缓国内EDA软件的发展,使用国外的EDA软件。现阶段,我国面临更严峻的国际技术竞争,集成电路设计和EDA工具再次成为了政策支持的重中之重。,国家陆续出台集成电路设计领域的重点布局事项和相关税收优惠政策。,国家再次对集成电路设计领域进行了税收优惠,随后开展了-制造业设计能力提升专项行动。,国务院发布了《新时期促进集成电路产业和软件产业高质量发展的若干政策》,从财税政策、投融资政策、研究开发政策、进出口政策、人才政策、知识产权政策、市场应用政策、国际合作政策八大方面为EDA行业助力。

需求、供给、政策三重共振,国产EDA处在爆发前夕。需求方面,国产集成电路领域经过多年的发展,已有了世界领先的Fabless和Foundry厂商,保障了对国产EDA工具的需求;供给方面,我国EDA企业初步崭露头角,人才储备逐渐丰富,未来技术迭代有望加速;政策方面,国家接连出台大力度的支持政策,为集成电路设计开辟发展的绿色通道。综上我们认为,在三重因素共振的背景下,国产EDA有望加速崛起。

2 Synopsys:EDA行业全球龙头,三大业务板块齐头并进

2.1 EDA行业的领头者,布局全链EDA产品

Synopsys是全球EDA龙头企业,通过收并购实现快速发展。Synopsys目前是全球排名第一的电子设计自动化(EDA) 解决方案提供商和芯片接口IP供应商。从公司产品结构来看,公司历经三个发展阶段:1)初创期,专注于逻辑综合工具。1986年,Synopsys前身Optimal Solutions, Inc.成立,专注于逻辑综合工具,开创了一个自上而下设计定义的时代。1987年到1989年,Synopsys的营收从13万美元增长至730万美元,实现55倍的高速增长。2)扩张期,快速丰富产品结构。1990年,公司意识到无法依靠一个产品实现持续发展,于是公司在随后的十年中进行了20起相关领域收购,并逐渐在逻辑综合、模拟和测试三个技术领域确立了公司的领先地位。3)成熟期,确立全球龙头地位。2002年,公司收购Avant,成为全球第一家可以提供顶级前后端完整IC设计方案的EDA工具供应商。,公司成为全球龙头并保持至今。

为下游多个领域赋能,客户覆盖全球各类头部企业。公司作为EDA行业领导者,在芯片到软件等多个领域与全球领先科技公司合作紧密,共同开发电子产品和软件应用。从覆盖的行业来看,除了半导体、电子系统领域外,公司客户还覆盖电子、金融服务、媒体、汽车、医药、能源和工业等不同行业。具体到客户来看,公司客户包括所有半导体设计头部企业、医疗企业Rally、IoT企业Palma Ceia SemiDesign等各领域公司。

2.2 营业收入稳健增长,高研发费用率保障公司技术优势

公司营收持续增长,前三季度实现近五年最快增速。至,公司营收稳定增长,从27亿美元增长至37亿美元,CAGR实现11%,远高于行业7%的CAGR。具体来看,公司营收增速下滑至7.7%,主要系行业内竞争加剧以及国际竞争所致,和前三季度公司营收为37亿美元和31亿美元,同比增长9.7%和15%,增速回升,主要系后互联网、云计算的发展扩大了电子产品的应用范围,刺激了芯片和软件设计公司的业务增长。从净利润来看,公司净利润受税收影响较大,和的增速波动均是税收政策改变和税收处罚所致。去除影响,其他年份的净利润增速保持在20%左右。

EDA是公司主要收入来源,IP业务有望成为未来增长点。分业务来看:1)EDA业务是公司收入的主要来源,至Q3,公司EDA业务营收持续增长,但营收占比由的66%下降至前三季度的56%;2)IP业务是公司业绩的新增长点,至,公司IP业务收入由7.6亿美元增长至12亿美元,实现CAGR17%。收入占比由28%提升至前三季度的34%。3)软件完整性是公司新发展业务,至其业务营收由1.6亿美元增长至到3.7亿美元,占比由6%增长至10%。我们预计IP业务将逐步成为Synopsys新的增长驱动力。分地区来看,公司以亚洲为主的其他市场收入不断提升,营收占比由的39%增长至H1的43%。美国地区的营收占比有所缩减,由的50%下降至H1的46%。

高毛利业务占比逐年提升,公司毛利率逐步优化。由于所处软件行业的特点,公司毛利率一直维持高位,近来一直保持在75%以上。和前三季度分别为78%和79%。我们认为这主要受益于IP业务占比的提升,IP业务由于后期维护的成本较低,毛利率高于EDA业务,其占比的不断提升驱动公司毛利率增长。同时,公司净利率也在逐步优化,由的5%提升至的18%。

研发费用率维持30%以上,保障公司高技术壁垒。公司身处技术密集型产业,技术创新是核心竞争力。到Q3期间,公司不断增加研发投入,用于新产品的研发和现有产品功能的维护和升级。公司研发费用率一直在30%以上,主要原因是研发人员增加导致的薪酬变化以及设备成本和咨询顾问费用的增加。截至到,公司已经拥有3300多项已批准专利。

2.3 EDA软件为根,三大业务协同发展

EDA行业的领导者,设计、验证和制造全流程覆盖。公司是全球EDA行业的龙头,所有世界领先的半导体企业都在使用公司的EDA工具。从产品来看,公司EDA产品主要分为三大部分:1)设计业务包含Fusion Design Platform(融合设计)、 Custom Design Platform(定制设计) 和Silicon Lifecycle Management Platform(硅生命周期管理)三大平台,以及 3DIC 设计、机器学习/ AI 设计、物理实现、RTL 设计与综合、signoff、流程自动化、测试自动化、FPGA 设计等产品。2)验证工具可以验证整个系统,快速发现SoC bug。公司的验证工具主要包括仿真、静态和形式验证、AMS验证、验证IP、原型设计、FPGA验证等功能;3)制造类工具可以帮助晶圆厂进行工艺验证,进行良率管理,实现效率、功耗、良率等指标之间的平衡。

IP核需求量迅速上升,公司是最全面的IP供应商。随着更多的功能汇聚到单个设备甚至单个芯片中,芯片设计变得越来越复杂,IP块的需求量正在迅速增加。公司提供最大和最广泛的IP解决方案组合,财年公司IP平台的收入已经超过9亿美元,占公司总体收入的33%。具体来看,公司的DesignWare IP包括逻辑库、嵌入式存储器、模拟IP、接口IP、安全IP和嵌入式处理器,并且提供芯片架构、子系统、信号/电源完整性、原型设计套件和硅晶初启支持。公司再次推出了业界首个面向PCI Express 6.0(高速串行计算机扩展总线标准)的完整IP解决方案。

EDA业务持续外延,发展软件完整性平台。公司的软件完整性平台可以将完整性、安全性、质量和遵从性测试构建到客户的软件开发生命周期和供应链中。主要包括四大功能:1)静态分析,可以找到代码中的关键缺陷和漏洞;2)软件组成分析,分析第三方或者开源代码,保障代码安全性和合规性;3)动态分析,测试运行应用程序,发现安全漏洞;4)安全服务,构建软件安全计划的战略分析。总体来说,对内可以保证代码一致性和合规性,并自动检测代码漏洞;对外可以抵御恶意软件发起的网络攻击。

3 Cadence:行业长期领跑者,从EDA到电子设计流程全覆盖

3.1 EDA行业长期领导者,持续打造全链产品线

合并度过难关、收购做大做强,三十年EDA行业领导者。Cadence由SDA和ECDA于1988年合并而成,是一家电子设计自动化、半导体技术解决方案和设计服务供应商,主要包含功能验证、数字集成电路设计与验收、定制集成电路设计与仿真、系统互联与分析和IP五大业务。按照公司业务布局划分,公司发展主要分为以下几个阶段:1)设计软件布局阶段:1988年公司成立,次年公司收购Tangent Systems,推出时序驱动ASIC布局和布线工具,随后进行多次收购,打造了业内首批系统级设计技术。2)仿真业务布局阶段:1998年公司收购Quickturn,成功立足仿真硬件和软件市场。3)IP业务布局阶段:公司推出业界首款DDR4和宽带 I/O IP解决方案 ,并收购Denali Software,获得其存储IP和VIP,并通过后续的持续收购扩展公司在高速接口、模拟/混合信号和DSP领域的IP产品。4)全线升级阶段:近年来,公司不断推动其产品更新升级。,公司联合ARM推出用于TSMC 16nm FinFET工艺的处理器。,公司推出Virtuoso系统设计平台,提供IC、封装和电路板间的无缝设计流程并于推出新一代Vision DSP产品P1和Q8。

3.2 公司营收加速上升,盈利能力持续改善

公司营收持续增长,税前利润稳步提升。从营收来看,公司营收从的19亿美元增长至的27亿美元,CAGR达到12.4%,远超整体行业增速。H1公司实现营业收入15亿美元,同比增速进一步加快达17%。近年来公司业绩的加速增长主要系下游电子产品需求增多,刺激了厂商对于芯片和设计软件的需求。从盈利能力来看,公司净利润增速波动较大,主要系收并购带来的所得税波动所致。除去税收影响,至,公司税前利润由3.2亿美元增长至6.3亿美元,CAGR达到25%。H1公司税前利润达到3.9亿美元,同比增长37%,增速持续远高于营收增速,公司获利能力不断增强。

五大业务协同发展,海外市场不断扩大。从业务构成来看,各项分拆业务增速与总体营收增速保持一致,各项业务营收占比基本稳定,其中,定制集成电路设计与仿真、数字集成电路设计与验收和功能验证是公司三大主营业务,营收占比均在20%以上。分地区来看,公司亚洲市场收入不断提升,营收占比由的27%增长至H1的31%。欧洲、中东和非洲地区的营收占比有所缩减,由的20%下降至H1的17%。

毛利率持续保持高位,净利率增长显著。从毛利率来看,公司毛利率多年来一直维持在88%以上,高于Synopsys、Mentor等同业公司。从净利率来看,-H1期间,公司净利率由11%增长至23%,提升了12pct。,由于收并购引起公司税收有所波动,去除此影响,公司净利率逐步提升,获利能力不断增强。

高研发费用率保障公司行业地位,技术进步加速产品迭代。近年来Cadence不断加大研发投入,研发费用率一直保持在40%左右,高于Synopsys、Mentor等同业公司。这使得公司产品更新迅速,例如公司再次推出新一代的Vision DSP产品P1和Q8。从营收数据来看,公司高投入高回报的策略收效显著,公司营收增速不断攀升,H1公司营收增速达17%。

3.3 五大产品线齐头并进,持续保持技术领先性

集成电路和射频/微波的自动化设计:大幅提升复杂设计仿真效率。公司的定制集成电路/模拟/射频设计应用范围广泛,包括晶体管级模拟、混合信号、定制数字、内存和RF设计等。主要技术包括:1)Virtuoso System Design Platform:面向集成电路和封装设计的 统一化“系统感知”平台,可以实现跨芯片、封装和电路板并行设计,节省时间并最大程度地减少错误;适合集成多种结构电路类型(包括射频、模拟和数字系统)的设计。2)Custom/Analog Advanced Node:面向20nm及以下工艺定制/模拟设计的创新功能,实现硅片质量、设计效率、准确预测的全面提升。3)混合信号解决方案:实现了技术流程的统一、模拟与数字设计的协同等功能。4)光电设计:集成电子/光子设计自动化环境,可在单一流程中提供完整的光电集成电路解决方案。

数字设计与signoff平台:加速设计周期提升设计质量。数字设计和验证产品用于创建可以在实施之前经过正确性验证的数字电路或IC的逻辑表示,从集成流程开始,在设计的架构级抽象与详细的物理实现约束之间取得平衡。其主要功能包括缺陷检测和纠正、预测性改善和设计收敛、功耗验证、平衡功耗性能和面积、管理约束和跨时钟设计以及完整的行业标准。signoff可以借助集成引擎和大规模并行的云就绪流程,提升设计准确度并加快设计收敛。,公司再次推出完全基于机器学习的数字设计软件Cerebrus,巩固数字设计领域领导地位。

验证工具:最快的引擎和最智能的全面验证管理工具。公司的功能验证产品主要用于在定制和模拟设计之后验证设计的电路或者产品能否按预期运行,进而保证电路制造的可行性,大大降低失误的成本。公司的验证工具可以充分提升设计质量,满足各种应用和验证要求,主要包括形式与静态验证、仿真、模拟、计划与管理、验证IP、Debug分析、软件驱动验证、系统级IP验证等功能。

IC封装设计与分析工具:实现跨平台与流程统一。公司的Allegro Package Designer Plus和OrbitI Interconnect Designer工具提供了世界一流的跨平台设计规划与优化,以及单裸片和多裸片的先进封装与模块布局平台,可实现自动化和提升精准度,在综合环境中加快设计过程,包括全面的电气和热分析以及 IC/封装协同设计。

PCB 设计与分析:简化从概念到投产的复杂设计流程。公司PCB设计与分析工具打破了物理隔阂和设计领域的局限性,加速复杂的多电路板PCB系统设计。公司整个PCB设计与分析产品矩阵包括前端原理图设计、后端电路板Layout和布线、库与设计数据流程管理、模拟信号仿真、SI/PI分析等产品,形成了多电路板PCB系统设计、PDN设计、3D系统设计、IC/封装/PCB协同设计解决方案。

4 Mentor Graphics:EDA技术的先行者,核心产品优势突出

4.1 EDA行业的先行者,被西门子收购协同发展

电子设计自动化技术先行者,与西门子实现合作共赢。公司提供完整的软件和硬件设计解决方案,客户主要为高精尖行业,如军工,航空,半导体等。从公司业务发展来看,可以分为四个阶段:1)公司创立。1981年,Mentor Graphics在美国成立。1983年,收购了自动化设计公司CADI,同年发布交互式仿真软件MSPICE。2)快速发展。1983年,公司开始拓展海外市场,先后在英国、法国、西德、日本等地区建立分公司。随后,Mentor加快了新产品的发布,几乎每个月都会发布一款新产品。1988年,公司收入突破3亿美元,当时全球EDA市场规模约为9亿美元,公司占据了三分之一。3)陷入困境。1989年,公司开发的新一代设计自动化软件Mentor Graphics 8.0,完成时间逾期,导致市场规模迅速萎缩。1991年,公司首次出现季度亏损,并裁掉了15%的员工。4)被西门子收购。在之后的几年,公司通过大量的收购巩固了公司行业地位,但战略失误使得公司出现多年亏损,整体业绩增速也在放缓,公司被西门子收购成为西门子EDA部门。

营收增速出现一定波动,与西门子合力未来业绩有望持续向好。至Mentor营收增速波动较大,但除去与,公司营收整体增速始终维持在8%左右,并有改善趋势,符合行业整体增速。从盈利能力来看,公司与出现亏损,随后盈利出现爆发式增长,并逐渐稳定,整体盈利水平在一亿元左右。之后公司被Siemens收购后,成为Siemens EDA部门。我们认为,通过与Siemens的协同,公司未来竞争力有望加强,实现市场份额的快速增长。

研发费用率稳步上升,获取持续竞争力。Mentor 以来,研发费用率稳定抬升,销售费用率持续下降。从研发费用角度,Mentor研发费用主要体现在两个方面,一方面是对已有产品的持续改进和新产品的开发,另一方面在于通过兼并收购来扩大现有产品,并寻求新的业务领域。由Mentor年报可知,员工薪酬和兼并收购费用是研发费用的主要组成部分,公司对核心技术研发人员的高投入与兼并收购是公司在EDA市场中长期取得竞争优势的重要原因。从销售费用角度,销售费用绝对值相对稳定,在公司营收稳步增长的情况下,销售费用率有所下降。此外,公司海外市场持续扩大,公司销售费用率的降低一定程度上能够反应公司在EDA市场具有销售渠道优势,并且其海内外市场进一步成熟,有利于销售费用率的降低。

4.2领先的后端设计工具,西门子助力布局三大业务方向

国际领先的后端设计工具,西门子助力全方位发展。Mentor Graphics的优势在于Calibre signoff和DFT环节。DFT(Design For Test)是电路和芯片设计的重要环节,其使芯片变得容易测试,大幅度节省芯片测试的成本;Calibre是DFM(Design For Manufaturing)产品,主要应用于物理验证,使得设计者可以自由选择受光刻影响最小的设计流程。Siemens的PLM业务收购Mentor Graphics后成立了西门子数字工业软件公司(Siemens PLM + Siemens EDA),打破工程学科之间的障碍,搭建了全面、集成的软件和服务组合平台Xcelerator,志在打造全面的数字生态系统。

西门子收购Mentor Graphic,相互协同实现双赢。对于Mentor Graphics来说:被Siemens收购,一方面可以获得资金支持,缓解经营压力;另一方面可以协同Siemens原有产品服务,依托西门的渠道以及集团级和合作,自上而下迅速开拓市场,实现业务的加速发展。对于Siemens来说:收购Mentor帮助Siemens把业务拓展到嵌入式软件、SoC 设计和 EDA 工具等领域,从行业、产品设计领域和生产阶段方面对“数字工厂”战略进行了补充,囊括CAD、CAM和EDA业务,形成完整的软件布局。此外,Mentor为许多大型OEM和供应商提供AutoSAR等平台和集成工程服务,因此通过收购,Siemens能够借Mentor之力,把握汽车电子化机遇。完成收购后,西门子在数字工厂行业的市场份额从第一季度的4%,迅速上升到第二季度的20%。

三大业务线共举,持续打造全流程EDA工具。目前,Siemens EDA产品所覆盖的设计流程包括:1)集成电路设计、验证和制造。产品能够使用AI驱动,实现功率、性能、面积和功能的平衡,满足从C++原型到数字孪生所有级别的验证。2)集成电路封装设计和验证。产品使用完整的2.5/3D IC集成、设计和验证解决方案,快速、准确、高容量完成验证,提高产品性能。3)PCB系统设计和制造。公司产品包括从单个PCB的无缝扩展到系统设计,从个人到企业级的集成和优化以及从设计到制造的整个流程。

5 独具优势的EDA厂商:Keysight和Ansys

5.1 Keysight:专精通信设计和器件建模EDA软件

专注于测试测量领域,通信设计和器件建模领域EDA的领导者。Keysight专注于电子和光信号的测试测量,提供跨行业全流程的相关解决方案,硬件类产品包括电信号测试仪器仪表、网络测试仪器、网络安全硬件以及光学仪器以及各类仪器的附件及技术支持;软件类产品的主要包括PathWave系列设计和测试一体化软件以及其他应用软件和编程环境软件。目前,公司客户已遍布全球,包括高通、英伟达、西门子、特斯拉等全球多行业的龙头企业。公司旗下的Keysight EEsof EDA是通信产品设计领域领先的电子设计自动化(EDA)软件供应商,其开发的软件产品可以实现射频、微波、器件建模和信号处理设计,覆盖商用无线、国防电子系统(ESL)、信号完整性、射频混合信号、器件建模、射频和微波设计等应用领域。,公司总体营收规模达42亿美元。

软件硬件服务三位一体,打造跨行业全流程解决方案。公司提供市场领先的硬件、软件、服务于一体的解决方案,囊括仿真、原型系统、验证、制造、优化全工作流程,服务于通信、网络安全、自动驾驶、国防、IoT多个下游行业。

PathWave系列软件平台:涵盖产品全生命周期的设计与测试需求的一体化平台。该软件将模拟、制作原型、实验、生产、优化五个阶段进行整合,形成全周期的软件支持。主要包括以下四款软件:1)先进系统设计软件(ADS),配有丰富程序库以及设计指南的电路设计和仿真软件,将EM仿真、电路设计和版图功能整合到一起,覆盖从设计到封装的全过程。iconicRF团队就曾利用PathWaveADS快速评估基站蜂窝性能,并进行射频和毫米波功率放大器设计优化。2)设计软件(Empro),用于三维元器件的电磁建模和仿真的软件,能够分析元器件的3DEM效应。3)射频合成软件(Genesys),面向印刷电路板和子系统设计人员的射频和微波电路合成与仿真软件。4)系统设计软件(SystemVue),面向系统架构师和算法开发人员的系统级设计和仿真软件。该软件能为开发者提供基础的构建模块,并自动完成代码生成和模型编译。

5.2 Ansys:专注于工程仿真EDA软件

专注于各类仿真业务,业界唯一完整系统、电路和电磁场全集成化设计平台供应商。Ansys 成立于1970年,专注于工程仿真软件和技术。ANSYS 电子自动化设计(EDA)软件,来自于著名的Ansoft公司,提供业界唯一完整的系统、电路和电磁场全集成化设计平台,完成从部件设计、电路仿真优化到系统仿真验证的全过程。ANSYS的EDA产品在高频和低频电磁场仿真、时域/频域非线性电路仿真、机电一体化设计技术等方面始终处于领导地位,广泛应用于各类高性能电子设备的设计,包括了航空航天、集成电路、通讯、汽车、船舶等领域,覆盖了网络设备与宽带部件,雷达、通信与电子对抗系统,集成电路(IC),印刷电路板(PCB),医疗电子系统,汽车电子系统等多个方面。

软件许可维系公司营收高增长,维护服务提升产品附加值。Ansys近五年营收稳定增长,由的9.8亿美元增长至的17亿美元,实现CAGR 11.2%。营收同比增速放缓主要受疫情与中美技术竞争的影响。伴随疫情形式好转,H1公司营收进入恢复期。分业务看,软件许可与维护服务是ANSYS的两大收入模式。起,软件许可收入占比存在明显的下降,而维护服务收入提升,主要原因系:起,公司将维护服务与公司软件许可业务直接绑定,软件售后收入被直接划归入维护服务收入,使得维护服务收入占比提升。

公司技术壁垒高筑,综合毛利率维持高位。至,公司综合毛利呈稳步上升趋势,从的85%增长至的89%,与有所下降,分别为87%/84%。Ansys综合毛利率的变动主要来源于维护服务毛利率的变动,软件许可毛利率因其软件固有属性以及公司技术壁垒,长期保持高毛利率,在95%上下波动。维护服务毛利率在降低2.3%,主要原因系:该业务属性决定其作业难以远程实现,受疫情负面影响较大,在降低业务收入的同时提高了营业成本。此外美元汇率走弱也带来了维护服务成本的增加。H1维护服务毛利率进一步降低可能受该业务的季节性波动影响。

高研发投入保证长久活力,加大投入快速拓展全球市场。至公司研发费用与研发费用率稳中有升,研发费用率由18.5%增长至21.1%,为公司产品更新与迭代带来长久活力。销售、行政及一般费用作为期间费用,其费用率总体也保持上升趋势,主要原因系,公司近年来旨在拓宽全球市场,一方面,拓宽市场需要更多销售、管理等费用投入以及外汇变动引起的财务费用波动;另一方面公司规模扩大,人员增加等因素提高了公司的管理成本。

电子仿真业务:行业顶尖的模拟仿真软件。公司的电子产品组合可以实现电源完整性和信号完整性分析、电磁干扰和兼容性分析、无线和射频分析、热管理、电机分析、电子可靠性仿真等功能,帮助企业最大限度地降低测试成本,确保合规性,大幅减少产品开发时间。主要产品包括 Ansys EMA3D Cable、Ansys Motor-CAD、Ansys HFSS、Ansys Nuhertz FilterSolution、Ansys Icepak、Ansys Q3D Extractor、Ansys Maxwell 、Ansys SIwave。

半导体仿真业务:全面的多物理EM/IR、热和电磁仿真引擎。Ansys 半导体产品提供一套全面的多物理 EM/IR、热和电磁仿真发动机,可以实现电源完整性signoff、动态降电压分析、2.5D和3D电热signoff、电源功效分析和优化、硅的电磁分析等功能。主要产品包括 Ansys Exalto、Ansys RaptorH、Ansys Pathfinder、Ansys RedHawk-SC、Ansys Path FX、Ansys RedHawk-SC Electrothermal、Ansys Pharos、Ansys Totem- SC、Ansys PowerArtist、Ansys VeloceRF。

6 百花齐放的国内EDA市场

6.1 华大九天:国内唯一的全流程EDA工具企业

国产EDA龙头,打造全流程EDA工具。华大九天成立于,是国内最早从事EDA工具研发的公司,其前身是中国华大集成电路设计集团的EDA部门,核心成员曾参与中国第一款自主全流程EDA系统——“熊猫ICCAD系统”的研发工作。在历经十余年的发展后,公司目前已经成为国内规模最大、产品线最完整,综合实力最强的国产EDA企业。从产品侧来看,公司旗下的EDA工具覆盖了数字电路、模拟电路、平板显示电路和晶圆制造等领域。其中,在液晶平板显示领域,公司可提供全流程设计工具,且具有全球竞争力;在模拟电路领域,公司是我国目前我唯一能够提供全流程EDA工具的本土企业;在数字电路领域,公司也在时序分析、版图集成等方面拥有诸多具有特色的点工具。从用户侧来看,公司近年来也在市场拓展方面取得了一定的进展,诸如京东方、兆芯集成、TCL等国内知名集成电路设计和面板制造企业均为公司的前五大客户。

下游需求扩张叠加公司能力边界拓展,业绩增长步入快车道。//公司营业收入分别为1.50/2.57/4.15亿元,19/同比增长70.6%/61.3%。同时公司18/19/净利润分别为0.49/0.57/1.04亿元,19/同比增长16.3%/82.5%。我们认为,公司业绩高速增长的主要原因包括:1)国内半导体产业发展势头良好,集成电路设计需求量不断提升,公司充分受益于下游需求的爆发;2)公司能力边际持续拓展,近年来在数字、模拟、面板等领域均持续有新产品发布;3)国产化进程提速,公司作为国内EDA龙头,陆续开拓了一系列国内优质客户。

充分享受license模式下高毛利属性,近三年毛利率维持高位。公司近三年毛利率始终维持在85%以上,18/19/分别为95.35%/88.65%/88.68%。我们认为,公司毛利率水平较高,主要系公司的商业模式由软件授权和技术服务开发结合而成,前者以license销售为主,边际成本接近于0,因此毛利率也始终稳定在100%的水平。同时,我们发现公司近年来毛利率发生了些许下滑,这主要是因为,随着专业技术开发水平的提升,公司逐步拓展了对外的专业软件定制开发业务, 该服务定制化属性较强,因此毛利率较低,进而随着量的上升拉低了公司整体毛利率。但我们认为,定制开发帮助公司拓展了业务范围和客户群体,从长期来看有利于公司发展。

公司以研发驱动为导向,契合产业演进趋势。作为半导体设计的最上游,EDA始终是一个研发驱动型的行业。放眼全球,诸如Synopsys、Cadence、西门子半导体均常年保持大规模的投入,而公司作为国内EDA龙头,也长期在研发方面倾注了较大的资源。从投入来看,公司18/19/研发费用分别为0.75/1.35/1.83亿元,费用率分别为49.8%/52.5%/44.2%。从产出结果来看,公司收入主要来源于主营业务提供核心技术软件销售与相关技术开发服务,占比达90%以上,具备从研发快速转化为产出的能力。从研发人才来看,公司核心团队曾参与了中国第一款自主全流程EDA系统——“熊猫ICCAD系统”的研发工作。截止12月31日,公司研发与技术人员数量达322人,研发与技术人员占公司总人数比例高达67.51%,其中硕博比例高达60%。

打破海外企业垄断,国内唯一模拟电路设计全流程供应商。公司的模拟电路EDA工具能够为下游IC行业客户提供版图设计、电路仿真、物理验证、参数提取和可靠性分析在内的一站式完整解决方案。在原理图编辑阶段,公司具有Aether设计软件,其可以为设计师高效的设计环境;在电路仿真阶段,公司具有ALPS工具和ALPS-GT系统,该套系统突破了电路仿真的性能和容量瓶颈;在物理验证阶段,公司具有Argus工具,用来检查版图与制造加工之间的适配性,能有效降低设计成本和减少设计失败的风险;提取寄生参数阶段,公司则拥有RCExplorer工具,该工具可以根据工艺参数对版图电元件进行数据计算。,公司发布了新一代模拟电路设计全流程设计工具,在提升各方面性能的同时,也增加了对于新工艺的适配。

公司在数字电路设计领域具有数个有特色的点工具。其中,在单元库特征化提取阶段,公司可提供Liberal工具和单元库/IP 质量验证工具Qual,该工具为设计师加速单元库的设计和优化提供了重要支撑;在高精度时序仿真分析阶段,公司可提供XTime工具,该工具大大提高了分析电路时序的可靠性;在时序功耗优化工具的开发上,公司推出了自研的XTop工具,该工具能显著提高时序和功耗优化的效率和质量;在版图集成阶段,则形成了Skipper工具,该工具为高效的分析和处理超大规模版图数据提供了有力支撑。

公司在平板显示电路设计领域具有全球竞争力。旗下的全流程EDA工具能满足下游IC行业客户的模型提取、版图编辑、电路仿真、物理验证、参数提取和可靠性分析在内的一站式完整解决需求。其中,版图编辑阶段利用了AetherFPD工具,该工具为设计师提供了高效的开发环境;物理验证阶段利用了ArgusFPD工具,该工具解决了不规则电路和版图的验证难题,大大缩短产品设计周期;寄生参数提取阶段利用了RCExplorerFPD工具,该工具在保证寄生参数提取精度的同时,极大的提升了计算效率;可靠性分析阶段利用了ArtemisFPD工具,在提升效率的同时更装载了数据快速装载和查询功能,为设计师提供了便捷、高效的分析和调试环境。我们认为,自公司发布该产品以来,经过数年的迭代,当前公司在平板显示电路设计领域已具有全球竞争力。原因主要包括:1)供给侧:公司产品可满足诸多特殊要求,包括了对于手表(圆形屏)、手机(水滴屏)、汽车仪表盘(曲线屏)等平板显示电路设计的支持;2)客户侧:公司的下游客户包括了诸如京东方、TCL等头部面板厂商,且销售额逐年提升。

6.2 概伦电子:具有国际竞争力的器件建模与仿真领域EDA工具供应商

深耕器件建模和电路仿真领域,形成软硬件方案布局。1)成立初期,在半导体器件建模领域取得成功。概伦电子由刘志宏博士所带领行业资深团队于成立,成立初期主攻半导体器件建模软件,旗下的BISMPro Plus自正式发布后逐渐被绝大多数行业领先的晶圆代工厂采用。2)依托技术积累,切入电路仿真赛道。公司发布通用并行SPICE电路仿真器NanoSpice,并在次年发布能够弥补传统SPICE和FastSPICE的不足的NanoSpice Giga。经过数年市场检验,NanoSpice系列仿真器持续被多家国内外领先集成电路企业特别是储存器厂商大规模采用。3)拓宽业务范围,布局硬件产品。公司于发布低频噪声测试仪器9812DX,两年内该仪器持续被领先晶圆代工厂所采用。底,概伦电子并购博达微科技以扩大在建模方面的领先优势。

营收呈爆发式增长,期待后续盈利能力逐步抬升。从营收来看,公司在、和分别实现营业收入0.5亿元、0.7亿元和1.37亿元,营业收入同比增长110%,实现营收翻倍。公司拥有丰厚的技术经验,在我国政府大力支持集成电路产业发展的背景下,需求不断扩张,同时公司拥有大量知名客户,包括积电、三星电子、SK 海力士、美光科技、联电、中芯国际等全球领先的集成电路企业。从净利润来看,公司、、归母净利润分别为-0.1亿元、-8.8亿元和0.8亿元,其中公司因股权激励费用较大导致净利润为负,去除此影响,-扣非净利润为-0.07亿元、0.03亿元、0.21 亿元,实现扭亏为盈。

三大业务协同发展。国内收入占比稳步提升。分地区来看,得益于国内下游半导体行业爆发,各厂商对于EDA工具需求持续旺盛,叠加国产化因素,公司-公司国内业务收入绝对值和占比双升,其中营收分别为0.1、0.18和0.64 亿元,占比分别为19%、28%和47%。分业务来看,EDA工具授权仍为公司的第一大业务,其收入从的0.43亿元增长至的0.95亿元,CAGR为49%,主要系国际及国内晶圆制造厂的需求不断扩大以及末公司并购博达微,进一步扩大了市场份额。在EDA工具快速拓展的同时,公司也逐步实现了硬件产品,即半导体器件特性测试仪器的协同发展,其收入从的63万元增长至的2443万元,占比接近20%,成为公司的第二大业务。半导体工程服务方面,由于其体量较小并采用项目制形式,因此在收入上有所波动,的大幅增长主要系博达微半导体工程服务业务占比较高。

EDA工具授权业务为主,整体毛利率持续保持高位。公司、和毛利率分别为96%、95%和90%,总体毛利率持续保持高位。分业务来看,公司EDA工具授权业务以销售标准化EDA软件产品为主,其相应开发成本已计入研发费用,无对应成本,从而形成了高达100%的毛利率,也是公司整体毛利率维持高位的主要原因。而半导体器件特性测试仪器销售业务近三年毛利率分别为68%、84%和75%,其单位成本相对稳定,毛利率主要受单位售价影响,毛利率有所下降主要由于收购博达微后新增FS-Pro产品,FS-Pro相对于9812DX毛利率较低。此外,公司的半导体工程服务业务近年来毛利率有所波动,主要系其业务规模相对较小,客户相对集中,公司在不同项目中议价能力有所差异。

高研发投入保障技术壁垒,高销售投入快速打开市场。从研发费用率来看,公司、和研发费用率分别为37%、55%和36%。所处EDA行业属于技术含量高的知识密集型产业,研发投入大且研发周期长。公司下游客户多为集成电路行业内全球知名企业,对EDA技术领先性要求较高,公司需要以持续的技术创新保证产品服务升级迭代进度以面对国际竞争对手的技术竞争。目前,公司已经拥有多项EDA核心技术,包括19项发明专利和35项软件著作权。从管理费用率和销售费用率来看,管理费用率分别为16%、20%和19%,主要系公司经营规模相对较小,规模效应尚未显现。销售费用率分别为7%、11%和20%,主要是公司积极转变销售模式,加大市场推广力度,不断增强销售网络建设,销售人员不断增多,人员薪酬和相关费用增加所致。

制造类EDA工具市场地位稳固,客户覆盖全球领先的晶圆代工厂。公司目前的制造类EDA工具主要为器件建模及验证 EDA工具,用于快速准确地建立半导体器件模型,是集成电路制造领域的核心关键工具之一。公司的制造类EDA工具已经得到包括台积电、三星电子、联电、格芯、中芯国际等全球前十大晶圆厂中九家的广泛使用。公司制造类EDA工具的累计收入的50%以上来自公司与上述九家晶圆厂开展的器件建模及验证EDA工具业务。

设计类EDA工具竞争优势显著,与全球三大存储器厂商合作密切。公司目前的设计类EDA工具主要为电路仿真及验证EDA工具,用于大规模集成电路的电路仿真和验证,优化电路的性能和良率,是集成电路设计领域的核心关键工具之一。公司已在全球存储器芯片领域取得较强的竞争优势,部分实现对全球领先企业的替代,客户包括三星电子、SK 海力士、美光科技等全球规模前三的存储器厂商。公司设计类EDA工具的累计收入的40%以上来自公司与上述三家储存器厂商开展的设计类EDA工具业务。

6.3 广立微:聚焦制造类EDA与电性测试设备

以结构设计测试工具切入市场,致力于提供优化芯片成品率的完整方案。在测试芯片设计领域,公司于发布用于测试结构设计的参数化单元创建工具Smtcell和测试平台TCMagic,并在发布用于测试芯片设计的AT Compiler平台。在电性测试领域,公司于成功研发电性能数据测试软件DataExp,后续又在实现了WAT高速电性测试设备的量产,并达到行业领先水平,实现了软硬件的有机结合。同时,公司积极与一线厂商密切合作,共同向先进节点不断演进。,粤芯半导体开始与公司就软件技术和测试验证业务进行合作。,国内领先的晶圆代工企业华虹集团跃升为公司的第一大客户。此外,公司还与三星电子、合肥晶合及长鑫存储等行业领先的制造厂商形成了稳定的合作关系,将利于公司对产品进行快速迭代,并确保技术和服务始终跟随先进节点持续演进。

供给和需求共振,近三年业绩呈爆发式增长。公司营收由的0.31亿元高速增长至的1.24亿元,CAGR达到99.4%。归母净利润从的亏损0.11亿元增长至0.5亿元,盈利能力迅速提升。我们认为,公司营收高增长的主要原因系:1)供给侧:技术驱动产品边界扩宽。公司是国内技术领先的集成电路EDA软件与晶圆级电性测试设备供应商,具有填补市场空白与全流程覆盖的独特竞争优势。2)需求侧:行业高景气带来下游爆发。在半导体国产化进程不断加速的背景下,国内近年来涌现出一批半导体设计公司,带来对EDA软件需求的抬升。

软件技术开发与软件工具授权业务高歌猛进,测试机及配件业务拭目以待。两大软件类业务是公司营收最主要来源,二者相辅相成,至合计营收占比分别为74%/85%/73%,我们认为两大软件业务营收将在稳定高占比的情况下存在略有下降的可能性,主要原因系:1)核心技术+深度合作推动两大软件业务稳增长。公司软件技术开发业务量价齐升;软件工具授权业务伴随产品版图扩大以及公司影响力提升,将带来持续性稳定增长。2)WAT测试设备计划持续扩大销售。WAT设备单位价值量大,主力销售机型T4000及T4100S均价在500万以上。至WAT设备销售数量分别为1台/2台/6台,未来有望放量。

毛利率维持高位,WAT测试机产品快速发展。至,综合毛利率分别为92%/92%/85%。软件技术开发与软件工具授权将长期给公司带来高毛利营收,一方面其交付物为基于算法与技术的软件产品,天然具有高毛利属性;另一方面,标准化软件授权产品销量提升有助于实现规模效应,边际效益递增。度,公司综合毛利率略有降低,同比下降7%,主要原因系测试设备业务开始发力:/,WAT测试机主要用于客户研发,较低硬件配置即满足客户要求;,WAT测试机批量采购量大增,且主要用于客户生产阶段,硬件配置更高,使其成本抬升,再加上其营收同比增加300%,引起公司综合毛利率降低。

硕博比例高达68.97%,研发高投入护航公司高产出。1)从研发费用角度来看,公司近年来研发投入逐年上升,达4亿元;研发费用率保持高水平,至研发费用率分别为79%/40%/33%。考虑到公司已递交上市申请,若实现在创业板上市,我们认为,公司未来有望在资金充裕的前提下进一步投入研发。2)从人员结构角度来看,公司研发人员87名,占员工总数的77.68%,其中博士10人,硕博比例高达68.97%。我们认为,公司研发高投入,研发人员高学历,彰显出公司的技术驱动属性,是后续进一步发展的有力保障。

公司提供制造类 EDA 软件、电性测试设备以及与芯片成品率提升技术相结合的整套解决方案。测试结构设计过程中,SmtCell软件工具能够帮助客户快速完成参数化单元设计,TCMagic平台则能接受SmtCell中创建的参数化单元,并高度自动化地完成设计文档和测试程序的生成。在测试芯片设计过程中, ATCompiler平台提供可寻址芯片设计技术,与公司的超高密度芯片设计技术相协同,实现了测试芯片中可容纳的测试结构的数量级提升,大大提高了测试效率。在电性测试环节,公司的WAT Tester电性测试设备能够提供持续准确和高速的测试能力,帮助设计者改善硬件架构,同时拥有业内领先的测试精度。在数据分析环节,DataExp数据分析软件能够挖掘出测试数据中潜在的关键信息,帮助客户分析工艺稳定性,提高研发效率。

积极研发设计端EDA产品,拓宽产品矩阵。公司也积极布局了集成电路大数据平台和嵌入产品芯片的工艺监控IP等领域,未来公司将有望将EDA产品由制造端向设计端不断延伸,通过公司在成品率提升领域构建的产品生态,进一步拓展公司的产品类型,拓宽公司的产品矩阵。

6.4 思尔新:国产原型验证领域龙头企业

思尔芯:国内数字芯片EDA行业的先行者,国产原型验证领域龙头企业。思尔芯成立于,专注于FPGA原型验证系统,被国微集团收购解决资金短缺问题,发布招股说明书,计划挂牌科创板。从行业地位来看,公司实现了原型验证系统的国产化,占据国内50%以上的市场,全球市占率第二。根据CSIA统计,公司原型验证方案约占全球市场份额的9%,已被世界前十五大半导体企业中的六家所使用。同时,公司与索尼、英特尔、三星等超过500家国内外企业建立了良好的合作关系,以助力公司技术的落地。分业务来看,公司的主营业务为原型验证系统及验证云服务,其中原型验证系统占营收比例的90%以上,主要包括逻辑模块、逻辑系统和逻辑矩阵;云服务主要指原型验证解决方案云端虚拟化,可通过云服务的方式满足原型验证需求。未来公司将与国微集团协同,成为业内领先的数字芯片设计全流程EDA系统解决方案提供商。

6.5 鸿芯微纳:后端设计布局布线EDA工具供应商

鸿芯微纳:后端设计布局布线EDA工具提供商,致力于完善国产数字芯片全流程后端。深圳鸿芯微纳成立于,是一家从事国产数字集成电路EDA研发、生产和销售的公司。,鸿芯微纳发布了国内第一款布局布线EDA工具,并于7月成功完成了先进工艺复杂芯片的流片,获得了包括国内头部IC设计公司在内的商业客户的认可。截止目前,鸿芯微纳旗下的EDA工具已经能够覆盖从90纳米至7纳米所有流程,并且在绝大多数流程上都已经成功完成产品流片,工具性能和国外先进公司同类产品相比有一定竞争力。公司主要软件产品布局布线工具Aguda,是目前国内唯一能够提供完备的数字集成电路物理设计解决方案的国产EDA工具,产品涵盖从 Netlist-In 到 GDS-Out 完整的电子设计自动化流程,以及从布局、预布线、布局优化、时钟树综合、时钟树优化、详细布线、顶层集成的全部技术。

6.6 芯和半导体:全产业链仿真EDA解决方案供应商

芯和半导体:全产业链仿真EDA解决方案提供商,致力于赋能电子产品设计与开发。芯和半导体前身为芯禾科技,创建于。作为国产EDA行业的领军企业,公司能够提供覆盖IC、封装到系统的全产业链仿真EDA解决方案,并致力于为新一代高速高频智能电子产品的设计提供软件支持,促进其快速更新迭代。目前,公司的EDA产品和解决方案不断在半导体先进工艺节点以及先进封装领域得到验证,有效联结了各大IC设计公司与制造公司,与台积电、三星、格芯等先进晶圆代工企业保持稳定合作关系,同时也是国际EDA产业领先企业Synopsys旗下Synopsys InSync program的成员之一。在先进工艺端,IRIS和iModeler工具通过了各大晶圆厂的主流工艺的认证,能够提供了业界顶尖的模拟、射频芯片建模和电磁场仿真能力;在先进封装端,Metis和Hermes工具能支持纳米到厘米级的跨尺度仿真,为技术迭代下不断扩大的封装规模提供了完善的仿真分析能力。

在全球5G射频前端供应链中扮演重要角色,积极布局物联网及数据中心仿真业务。公司的5G移动终端解决方案能够覆盖5G手机基带芯片、射频芯片和射频前段芯片的电磁仿真设计与优化。物联网解决方案则包括物联网所涉及的芯片、封装、电路板、等组件的设计自动化,节约设计者建构时间和原型测试的成本。

6.7 芯华章:数字验证及仿真技术相关EDA软件供应商

芯华章:致力于提供EDA 数字验证及仿真技术相关的软件和系统。公司创立于3 月,其产品体系以智能调试、智能编译、智能验证座舱为基座,形成硬件仿真系统、FPGA原型验证系统、智能验证、形式验证以及逻辑仿真五大产品线。11月,公司完成适配国产计算机架构的全新仿真技术的研发,实现相关软硬件实现国产化替代,突破传统仿真器只支持X86架构的限制。此外,公司同期发布高性能多功能可编程适配解决方案“灵动”,该方案针对FPGA原型化平台,有能力替代多种原型验证进口子板,可进一步加快验证收敛,提高芯片设计效率。此外,公司还于6月发布《EDA 2.0白皮书》,开创性地提出更加开放、标准化、统一化的平台服务模式——EDaaS,以促进全新的芯片设计合作生态。

7 投资建议

EDA是万亿数字经济的根基技术, 贯穿芯片产业各个环节。从应用角度看:EDA工具广泛应用于数字设计、模拟设计、晶圆制造、封装、系统五大环节,已经成为芯片设计生产不可或缺的工具。从产业链角度看:EDA是芯片制造的最上游产业,是衔接集成电路设计、制造和封测的关键纽带,对行业生产效率、产品技术水平都有重要影响。从市场角度看:,全球EDA市场规模为百亿美元,但其直接支撑的半导体制造产业市场规模高达700亿美元,再向上更是支撑着万亿规模的数字经济,杠杆效应接近200倍。

EDA行业享有独特且优质的商业模式。1)License模式带来的高毛利属性。EDA涉多个基础学科、工程学和软件算法的结合应用,且头部企业对EDA长期高强度的技术研发投入形成了极高的技术壁垒。得益于较高技术壁垒带来的议价权,EDA软件通常以license模式售卖,且有效期通常在3-5年之间,从而为EDA厂商带来了较高的毛利率水平,诸如 Synopsys和Cadence的毛利率近年来始终维持在80%左右。2)与工艺的高度融合使得EDA软件具有天然的“防盗版”属性。上文中,我们提到EDA厂商通常和Fabless以及Foundry深度绑定,形成坚固的铁三角关系。一方面,Foundry厂工艺的更新会带来EDA工具的更新换代,而旧版EDA工具无法在新工艺下进行设计;另一方面,EDA的研发重点在于解决设计过程中遇到的问题,Fabless厂商会持续促使EDA厂商更新技术与应用,进而反哺Fabless厂商设计效率的提升。3)产品形态具有可拓展性。通过对海外头部EDA厂商的研究,我们发现其产品已从单一的EDA软件的销售演进到现在的License证书授权、IP核(Intellectual Property)以及仿真加速器的三类销售。我们判断,随着未来半导体设计难度的进一步提升,头部EDA厂商有望凭借技术优势,衍生出更多的产品形态,从而打开向上空间。综上,我们认为EDA行业毛利率高、有着天然的“防盗版”属性,且未来产品形态有望进一步拓展,具有独特且优质的商业模式。

工业软件与半导体双轮驱动,我国EDA产业迎来空前发展机遇。从工业软件的视角看,EDA工具属于研发设计类工业软件,而初至今最高层对科技产业的发展重视程度空前,5月,习近平总书记在两院院士大会上首提工业软件,行业迎来重要政策拐点。我们认为,在内循环新格局和“卡脖子”风险的背景下,国产化替代大有可为。从半导体的视角来看,1)国产半导体产业链逐渐完善,我国在芯片设计、制造、封装测试环节均有了具备国际竞争力的厂商,为EDA工具提供了一批优质的下游客户。2)国产电子产品下游景气度持续高涨,根据GII research数据,我国电子信息产品市场份额约为27%,为全球第一大市场。我们认为,我国EDA将由工业软件与半导体双轮驱动,产业有望迎来空前的发展机遇。

我们建议关注以下三类企业:

1)国产EDA龙头:华大九天;

2)在部分领域具有全球竞争力的EDA厂商:概伦电子、广立微;

3)近年来涌现出的一批新兴EDA企业:思尔芯、鸿芯微纳、芯和半导体、芯华章。

风险提示

1)技术研发突破不及预期;

2)政策支持不及预期;

3)下游需求不及预期;

4)核心技术人员流失。

适当性说明:《证券期货投资者适当性管理办法》于7月1日起正式实施,通过本微信订阅号/本账号发布的观点和信息仅供华安证券的专业投资者参考,完整的投资观点应以华安证券研究所发布的完整报告为准。若您并非华安证券客户中的专业投资者,为控制投资风险,请取消订阅、接收或使用本订阅号/本账号中的任何信息。本订阅号/本账号难以设置访问权限,若给您造成不便,敬请谅解。我司不会因为关注、收到或阅读本订阅号/本账号推送内容而视相关人员为客户。市场有风险,投资需谨慎。

重要提示:本文内容节选自华安证券研究所已发布证券研究报告:工业软件与半导体双轮驱动,筑造万亿数字产业根基(发布时间:0917),具体分析内容请详见报告。若因对报告的摘编等产生歧义,应以报告发布当日的完整内容为准。

分析师:尹沿技(S0010520020001) 邮箱: yinyj@

研究助理:赵阳(S001010035) 邮箱: zhaoyang@

研究助理:夏瀛韬(S001010024) 邮箱: xiayt@

研究助理:袁子翔(S0010121050046) 邮箱: yuanzx@

团队介绍

尹沿技:华安证券研究总监、研究所所长,兼TMT首席分析师,曾多次获得新财富、水晶球机构投资者最佳分析师。

执业证书编号:S0010520020001

赵阳:厦门大学硕士,八年产业和证券行业工作经验,八项国家发明型专利,曾就职于美国国家仪器、KEYSIGHT,分别担任应用工程师、5G和智能网联汽车高级市场经理。目前主要研究智能网联汽车、人工智能、新兴技术产业。

执业证书编号:S001010035

杨楠:华南理工大学硕士,七年产业和证券行业从业经验,曾就职于京东方战略规划部门、中国信通院两化所,参与多项信息技术产业研究和政策制定工作。目前主要研究工业软件、工业互联网、云计算和信创产业。

执业证书编号:S001010046

夏瀛韬:复旦大学硕士,五年金融行业工作经验,曾任职于内资证券自营、外资证券研究部门,具有买方和卖方的双重理解。目前主要跟踪行业龙头公司,研究网络安全产业。

执业证书编号:S001010024

袁子翔:英国华威商学院金融硕士,3月加入华安证券研究所,目前主要研究医疗信息化及互联网医疗、海外科技产业。

执业证书编号:S0010121050046

吴雨萌:美国威斯康辛大学麦迪逊分校理学硕士,7月加入华安证券研究所,目前主要研究数字金融、银行IT。

执业证书编号:S0010121070046

最新研讨会:

在线讲座:太赫兹通信(亚太赫兹区域天线特性的OTA测量和通道测量)在线讲座:相位相参多通道脉冲分析(10月12日)《微波器件测量手册》作者Joel博士 详解全新PNA网络分析仪及进行微波元器件测量

原创文章:

混频器IP3的测量以及测试误差的来源分析

一文了解常用的微波传输线(二):矩形波导、集成波导、圆波导、矩圆转换器仿真

一文了解常用的微波传输线(一)

在HFSS中用vbs脚本跑马灯?

贴片天线的HFSS和CST仿真对比HFSS-API入门第二弹:基本形状和操作

HFSS-API入门第一弹:画个Box

巧用HFSS脚本录制功能平面口径天线简谈贴片天线的特征模分析及其应用

Excel也能调用HFSS?

模拟和矢量信号源进阶使用技巧IQ正交调制器基础知识和测试详解学个Antenna:Wi-Fi双频金属中框天线学个Antenna:手机天线之宽带匹配原理学个Antenna:手机天线入门

学个Antenna:HFSS脚本建模入门

本内容不代表本网观点和政治立场,如有侵犯你的权益请联系我们处理。
网友评论
网友评论仅供其表达个人看法,并不表明网站立场。